Open Access Open Access  Restricted Access Subscription or Fee Access

Review of Multi-Bit Flip Flop Technique

Nareshchandra Patel, Mehul L. Patel

Abstract


Enhancement for power is one of the most important elements in modern Integrated Circuits (ICs) design [10]. However, the major part of power is consumed by the clock network generally dominates the dynamic power of the chip due to its most important Switching rate. Data-Driven Clock-Gating (DDCG) and Multi-Bit Flip-Flops (MBFFs) in which several FFs are clustered and share mutual clock driver are two effective low power design technique which is commonly used by VLSI designer [9]. In this project, present reduce the clock power consumption by using the Multi-Bit Flip-Flops (MBFFs) technique for the sequential circuits. Also, present the benefits of applying to merge 1-bit flip-flops into some multi-bit flip-flop for clock power saving. This paper is focused on various low power techniques. The multi-bit flip-flop is used to reduce the dynamic clock power Technique of multi-bit flip-flops are studied and discuss hears. An algorithm used to find out mergeable flip-flop are also discussed. It is observed that multi-bit flip-flop techniques are suitable for dynamic clock power reduction. The 8.1 % and 12.1 % improvement in dynamic power reduction has been observed in a case of M-Bit FF implementation over the conventional approach.


Keywords


Reduction of Clock Power Consumption, Build Algorithm for Identifying the Mergeable Flip-Flops, Build Combination Table, Merge the Flip Flops, Apply MBFF Technique to the 20-Bit Counter, Results

Full Text:

PDF

References


Monica Donno, Alessandro Ivaldi, Luca Benini, “Clock-Tree Power optimization based on RTL Clock-Gating,”ACM, June- 2003, VOL. 36.1.

Ya-Ting Shyu, Jai-Ming Lin, “Effective and Efficient Approach for Power Reduction by Using Multi-Bit Flip-Flops,” IEEE, April- 2013, VOL. 21, NO. 4

Toyosu, Kohtoh-Ku, “A Design Approach for Fine-grained Run- Time Power Gating using Locally Extracted Sleep Signals,” IEEE, 2006, 3-7-5.

Kimiyoshi Usami, Mutsunori Igarashi, “Automated Low-Power Technique Exploiting Multiple Supply Voltages Applied to a Media Processor” IEEE JOURNAL, MARCH 1998, vol – 33, No-3.

Kevin J. Nowka, Gary D. Carpenter,“A 32-bit Power PC System- on a Chip With Support for Dynamic Voltage Scaling and Dynamic Frequency Scaling” IEEE JOURNAL, NOVEMBER 2002, VOL. 37, NO.11.

David Nguyen, Abhijit Davare,“Minimization of Dynamic and Static Power Through Joint Assignment of Threshold Voltages and Sizing Optimization,” ACM, August- 2003, pp. 158-162.

Ya-Ting Shyu, Jai-Ming Lin, “Effective and Efficient Approach for Power Reduction by Using Multi-Bit Flip-Flops,” IEEE, April 2013, Vol. 21 No. 4

Zhi-Wei Chen, Jin-Tai Yan, “Routability-constrained multi-bit flip-flop construction for clock power reduction," Science Direct, 2013, VLSI Journal 46, 290-300.

Chih-Cheng Hsu, Yao-Tsung Chang and Mark Po-Hung Lin, “Crosstalk- Aware Power Optimization with Multi-Bit Flip-Flops,” IEEE 2012, 5B-2, pp 431- 436.

Yao-Tsung Chang, Chih-Cheng Hsu, Mark Po-Hung Lin, “Post-Placement Power Optimization with Multi-Bit Flip-Flops,” IEEE, 2010, PP 218-223.

…...electronicdesign.com/power/understanding-low-power-ic-design- techniques [Accessed: 12 December 2016]

http://www.mdpi.com/2079-9268/2/1/69/htm# fig_body_display_jlpea-02- 00069-f001 [Accessed: 15 December, 2016]

http://archive.eetindia.co.in/www.eetindia.co.in/ART8 800684306_1800000_TA_9f4b574 b.HTM

Yeap, and Gray K, “Practical low power digital VLSI Design”, Springer, 1997

J.M. Rabaey and M. Pedram, “Low Power Design Methodologies”. Kluwer Academic, 1996.


Refbacks

  • There are currently no refbacks.


Creative Commons License
This work is licensed under a Creative Commons Attribution 3.0 License.