Open Access Open Access  Restricted Access Subscription or Fee Access

Analysis of Floorplanning Techniques for ASIC Development

Sachin Pandya, Rajendrakumar Patel

Abstract


The nature of floorplanning in complex hierarchical designs decides timing closure, power dissipation, interconnect length and area of chip. Particularly Floorplanning includes manual arrangement of hard macros such that area occupied on the silicon will be less and hence less congestion. Most of the problems in VLSI physical design process are Non-Deterministic Polynomial time (NP) hard problems [6]. The future growth of VLSI circuits relies on the development of physical design automation tools [5]. In the physical design process, Floorplanning is an important step, because it sets up the ground work for a good layout. It is the problem of placing a set of circuit modules on a chip to minimize the total area and interconnect cost. Various aspects of VLSI floorplanning problem have been studied in this paper.

The VLSI Design Flow for ASIC comprises of various steps like design specification, architectural design, RTL modeling, synthesis, physical design, layout signoff, fabrication, package and test. The physical design process is further divided into partitioning, floorplanning, placement, clock tree synthesis, signal routing and timing closure. Floorplanning acts as very important activity in physical design because it sets up the ground work for a good layout. The nature of floorplanning in complex hierarchical designs decides timing closure, power dissipation and area of chip and interconnects’ length. In this paper various floorplanning approaches are studied and their relative pros and cons are inferred and reported.

Keywords


Floorplanning in Physical Design, Floorplanning Algorithms, VLSI Physical Design, Back-End Designing, ASIC Designs, VLSI Design Flow, Physical Design Flow, B*-tree Representation, Simulated Annealing

Full Text:

PDF

References


Brayton, R. and Carloni, L.P. and Sangiovanni-Vincentelli, A.L. and Villa, T.: Design Automation of Electronic Systems: Past Accomplishments and Challenges Ahead [Scanning the Issue]. In: Proceedings of the IEEE, pp. 1952-1957. (2015).

Ousterhout, J.K.: Scripting: higher level programming for the 21st Century. In: IEEE Computer magazine, pp. 23-30. (1998)H. Poor, An Introduction to Signal Detection and Estimation. New York: Springer-Verlag, 1985, ch. 4.

Saurabh N. Adya and Igor L. Markov.: Consistent Placement of Macro Blocks Using Floorplanning and Standard Cell Placement. In: International Symposium on Physical design, pp. 12-17. (2002)E. H. Miller, “A note on reflector arrays (Periodical style—Accepted for publication),” IEEE Trans. Antennas Propagat., to be published.

Floorplanning: concept, challenges, and closure, http:// www.edn.com/design/integrated-circuit-design/ 4396580/Floorplanning- -concept--challenges--and-closure.C. J. Kaufman, Rocky Mountain Research Lab., Boulder, CO, private communication, May 1995.

Cadence.: LEF/DEF Language Reference. Cadence Design Systems Inc., San Jose (2009)

Naweed Sherwani.: Algorithms for VLSI Physical Design Automation, New York (1995)

Kahng, A.B, Leinig J.: VLSI Physical Design: From Graph Partitioning to Timing Closure, New York (2011)

R. B. Singh, A. S. Baghel and A. Agarwal, "A review on VLSI floorplanning optimization using metaheuristic algorithms," 2016 International Conference on Electrical, Electronics, and Optimization Techniques (ICEEOT), Chennai, India, 2016, pp. 4198-4202

Naushad Manzoor Laskar, Rahul Sen, P.K.Paul, K.L.Baishnab, “A Survey on VLSI Floorplanning: Its Representation and Modern Approaches of Optimization,” IEEE Sponsored 2nd International Conference on Innovations in Information Embedded and Communication Systems, pp.6-9 (2015)

Daniel Marolt; Jürgen Scheible; Göran Jerke; Vinko Marolt, “A self-organization approach for layout floorplanning problems in analog IC design”, 12th Conference on Ph.D. Research in Microelectronics and Electronics (PRIME), 2016

Marco Rabozzi; Gianluca Carlo Durelli; Antonio Miele; John Lillis; Marco Domenico Santambrogio, “Floorplanning Automation for Partial-Reconfigurable FPGAs via Feasible Placements Generation”, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Volume: PP, Issue: 99, 2016

http://www.economist.com/news/21589080-golden-rule-microchips-appears-be-coming-end-no-moore

Jianli Chen, Wenxing Zhu,M. M. Ali, “A Hybrid Simulated Annealing Algorithm for Nonslicing VLSI Floorplanning,” IEEE TRANSACTIONS ON SYSTEMS, MAN, AND CYBERNETICS—PART C: APPLICATIONS AND REVIEWS, VOL. 41, NO. 4, 2011

Jianli Chen, Wenxing Zhu, “A Hybrid Genetic Algorithm for VLSI Floorplanning, ”, Center for Discrete Mathematics and Theoretical Computer Science, Fuzhou University Fuzhou 350002, China, 2010

Jiarui Chen, Jianli Chen, “A Hybrid Evolution Algorithm for VLSI Floorplanning, ”, Center for Discrete Mathematics and Theoretical Computer Science,Fuzhou University Fuzhou, China, 2010

Hsun-Cheng Lee, Yao-Wen Chang, Jer-Ming Hsu and H. H. Yang, "Multilevel floorplanning/placement for large-scale modules using B*-trees," Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451), 2003, pp. 812-817.

Guang-Ming Wu, Yun-Chih Chang and Yao-Wen Chang, "Rectilinear block placement using B*-trees," Proceedings 2000 International Conference on Computer Design, Austin, TX, 2000, pp. 351-356.

Yun-Chih Chang, Yao-Wen Chang, Guang-Ming Wu and Shu-Wei Wu, "B*-trees: a new representation for non-slicing floorplans," Proceedings 37th Design Automation Conference, 2000, pp. 458-463.

http://www.slideshare.net/MaheshDananjaya/low-power-vlsi-design-46842791

Linda Null, Pennsylvania State University : The Essentials of Computer Organization and Architecture (2015)

Hu. TC & Kuh. ES 1985. VLSI circuit layout: Theory and designs. IEEE Press. New York. USA.

Kennings, A 1994. A Parallel Dual Affine Scaling Algorithm Using Netlist Master’s thesis. University of Waterloo, Waterloo, ON. Canada.

Alpert. Ci & Kahng. AB 1995. bRecent developments in netlist partitioning: A survey. Integration the VLSI Journal. Vol.19. iio.1-2. 1-81.

Sait1 SM & Youssef, H 1995, VLSI physical design automaffion: Theory and practice’. IEEE Press, New York. USA. ISBN 978-0-7803- 1141-i, pp. 1-19. 1-426.

Shahookar. K & Mazumder. P 1991. VLS1 cell placement techniques’. ACM computing surveys. vol. 23. no. 2. pp. 143-220.

Sarrafzacleh. M & Wong. CK 1996. An introduction to VLSI physical design’. The McGraw-Hill Companies. Inc. New York. USA.

Gracia Nirmala Rani, D & Rajaram. S 20 11. bPerfol.lrlaflce driven VLSI Floorplanning with B *...tl.ee representation using differential evolutionary algorithm, Springer Verlag, Trends in Network and Communications. Communication in Computer and Sciences. vol. 1 97, pp.456-465.

Wolf. WH, Mathews. RG. Newkirk. JA & DLltton. RW 1988. Algorithms for Optimizing, Two-Dimensional Symbolic LayoutCompaction1. IEEE rIra1sactions on Computer Aided Design. vol. 71no. 4 pp.451-466.

Agarwal, P & Murray Hill, NJ 1991. bVU1 Computer Aided Design Using Multiprocessing Systems. Technical Report, AT&T Bell Laboratories. Murray Hill.

Sutanthavibul, S. Shragowitz. E & Rosen. JB 1991. hAn analytical approach to floorplan design and optimization’. IEEE Transactions on Computer—Aided Design of Integrated Circuits and Systems, vol. 10. no. 6, pp. 76 1-769.

Onodera, H. Taniqiichi, Y & Tamaru, K 1991 4Branch-and—Bound Placement for Building Block Layout’, Proceedings of IEEE Design Automation Conference. pp. 433-439.

Nakatake, S. Fujiyoshi. K. Murata. H & Kajitani. Y 1996, bModule placement on B SG-structiire and IC layout applications’. Proceedings of IEEE/ACM International Conference on Computer-Aided Design. pp. 484-491.

Murata.,H 1996. VLS1 Module Placement Based on Rectangle Packing by the Sequence-Pair. IEEE Transactions on Computer Aided Design. vol.l5qno.12, pp.1518-1524.

Guo. PN Cheng, CK & Yoshimura. T 1999. bAn 0-tree representation of non-slicing floorplan and its applications. Proceedings of ACM/iEEE Design Automation Conference, pp. 268-273.

Jai Ming Lin & Zhi-Xiong Hung 201 1, SKB-Tree: A Fixed-Outline Driven Representation for Modern Floorplanning Problems’ IEEE Transactions on CAD of’ Integrated Circuits and Systems. vol. 30, no.7. pp. 1034-1044

Chan HH, Adya. SN & Markov. IL 2005, Are Floor plan Representations Important in Digital Design’. Proceedings of International Symposium on Physical Design. pp. 1 29— 136.


Refbacks

  • There are currently no refbacks.


Creative Commons License
This work is licensed under a Creative Commons Attribution 3.0 License.