Open Access Open Access  Restricted Access Subscription or Fee Access

VLSI Power Optimization Using Hybrid Logic Cells

I. Flavia Princess Nesamani, S. Miriam Niranjana, V. LakshmiPrabha

Abstract


Power is a major concern in today‟s design. The challenges faced in VLSI (Very Large Scale Integrated) circuits in sub micrometer technologies include increasing power dissipation and interconnect dominance. The pass transistor logic (PTL) family is an excellent choice for low power designs, but its use has been limited due to the lack of design automation tools. The work presents a design for low power and area synthesis. The development of a logic synthesis tool, designed specifically to work with a reduced set cell library consisting of a combination of pass logic and standard CMOS topologies is found to be more advantageous than the conventionally used CMOS logic design styles. Hence hybrid design styles are preferred. The processing technology (0.18um) enables the ease of design. This result in hybrid logic cells for standard cell based design environment.

Keywords


Logic Synthesis, Low Power VLSI, Mentor Graphics, Pass Transistor Logic (PTL), Standard Cell Library.

Full Text:

PDF

References


Shen-Fu Hsiao, Member, IEEE, Ming-Yu Tsai, and Chiasheng Wen, “Low Area/Power Synthesis Using Hybrid Pass Transistor CMOS Logic Cells in Standard Cell-Based Design Environment “ IEEE Transactions On Circuits and Systems-II VOL,57,NO.1,Jan 2010.

K. Yano, Y. Sasaki, K. Rikino, and K. Seki, “Top-down pass-transistor logic design,” IEEE J. Solid-State Circuits, vol. 31, no. 6, pp. 792–803, Jun. 1996.

R. S. Shelar and S. S. Sapatnekar, “BDD decomposition for Delay oriented pass transistor logic synthesis,”IEEE Trans.Very Large Scale Integr. (VLSI) Syst., vol. 13, no. 8, pp. 957–970, Aug. 2005.

C.Scholl and B.Becker, “On the generation of multiplexer Circuits for pass transistor logic,” in Proc. DATE Eur.Conf.Exhib., 2000, pp. 372–378.

G.R.Cho and T.Chen, “Synthesis of single/dual-rail mixedPTL static logic for low-power applications, ”IEEE Trans.Comput Aided Design Integr. Circuits Syst., vol. 23, no. 2, pp. 229–242,Feb. 2004.

Premal Buch Amit Narayan A.Richard Newton ASangiovanni Vincentelli,“Logic Synthesis for Large Pass Transistor Circuits” Department of Electrical Engineering & Computer Sciences University of California, Berkeley, CA 94720.

Synthesis for Mixed CMOS/PTL Logic: preliminary results Congguang (Anda) Yang Maciej Ciesielski fcyang,ciesielg@illiac.ecs.umass.edu Department of Electrical & Computer Engineering University of Massachusetts, Amherst, MA 01003.

S. Goel, A. Kumar, and M. A. Bayoumi, “Design robust of,energy-efficient full adders for deep submicrometer design using hybrid-CMOS logic style,” IEEE Trans Very Large Scale Integr. (VLSI) Syst., vol. 14,no. 12, pp. 1309–1321, Dec.2006.

S.Agarwal, V.K.Pavankumar, and R.Yokesh, “Energy efficient, high performance circuits for arithmetic units,” in Proc.Int. Conf. VLSI Des., 2008, pp. 371–376.

J.F.Lin,Y.T.Hwang,M.H.Sheu,and C.C.Ho,“A novel high speed and energy efficient 10-transistor full adder design,” IEEE Trans. Circuits Syst. I, Reg.apers, vol. 54, no. 5, pp.1050–1059, May 2007.

S.-F. Hsiao, M.-Y. Tsai, and C.S. Wen, “Area-oriented pass transistor logic synthesis using buffer elimination and layout compaction,” in Proc. ISCAS, May 2008, pp. 2022–2025.

R. Zimmermann and W. Fichtner, “Low power logic styles: CMOS versus pass-transistor logic,” IEEE J. Solid-State Circuits, vol. 32, no. 7, pp. 1079–1090, Jul. 1997.

S.-F. Hsiao, M.Y. Tsai, and C.-S. Wen, “Area-oriented pass transistor logic synthesis using buffer elimination and layout compaction,” in Proc. ISCAS, May 2008, pp. 2022–2025.s


Refbacks

  • There are currently no refbacks.


Creative Commons License
This work is licensed under a Creative Commons Attribution 3.0 License.