Open Access Open Access  Restricted Access Subscription or Fee Access

A Novel Energy Recovery and Clock Gating Scheme for a Low Power Clock Network

T. Boopathy, P. Kumar

Abstract


A significant fraction of the total power in highly synchronous systems is dissipated over clock networks. Hence, low power clocking schemes are promising approaches for low-power design. Four novel energy recovery clocked flip-flops have been proposed that enable energy recovery from the clock network, resulting in significant energy savings. The proposed flip-flops operate with a single-phase sinusoidal clock, which can be generated with high efficiency. Clock gating solutions for energy recovery clocking was also proposed. Applying our clock gating to the energy recovery clocked flipflops reduces their power by more than 1000x in the idle mode with negligible power and delay overhead in the active mode. Finally, a test chip containing two Linear Feedback Shift Register (LFSR) one designed with conventional square wave clocked flip-flops and the other one with the proposed energy recovery clocked flip-flops is fabricated and measured.

Keywords


Energy Recovery Clock, Clock Gating

Full Text:

PDF

References


S. Rusu, S. Tam, H. Muljono, D. Ayers, J. Chang, B. Cherkauer, J. Stinson, J. Benoit, R. Varada, J. Leung, R. D. Limaye, and S. Vora, “A 65-nm dual-core multithreaded xeon processor with 16-MB L3 cache,” IEEE J. Solid-State Circuits, vol. 42, no. 1, pp. 17–25, Jan. 2007.

W. C. Athas, L. J. Svensson, J. G. Koller, N. Tzartzanis, and E. Ying-Chin Chou, “Low-power digital systems based on adiabatic-switching principles,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 2, no. 4, pp. 398–407, Dec. 1994.

B. Voss and M. Glesner, “A lowpower sinusoidal clock,” in Proc. IEEE Int. Symp. Circuits Syst., May 2001, vol. 4, pp. 108–111..

B. Nikolic, V. G. Oklobdzija, V. Stojanovic, J. Wenyan, J. Kar-Shing Chiu, and M. Ming-Tak Leung, “Improved sense-amplifier-based flipflop:Design and measurements,” IEEE J. Solid-State Circuits, vol. 35, pp. 876–884, Jun. 2000.

Q. Wu, M. Pedram, and X. Wu, “Clock-gating and its application to low power design of sequential circuits,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 47, no. 3, pp. 415–420, Mar. 2000.

H. Kawaguchi and T. Sakurai, “A reduced clock-swing flip-flop (RCSFF) for 63% power reduction”, IEEE J. Solid-State Circuits, vol. 33, no. 5, pp. 807–811, May 1998.

B. S. Kong, S.-S. Kim and Y.-H. Jun, “Conditional-capture flip-flop for statistical power reduction,” IEEE J. Solid-State Circuits, vol. 36, no. 8, pp. 1263–1271, Aug. 2001.

L. Ding, P. Mazumder, and N. Srinivas, “A dual-rail static edge-triggered latch,” in Proc. IEEE Int. Symp. Circuits Syst, May 2001, pp. 645–648.

H. Partovi, R. Burd, U. Salim, F.Weber, L. DiGregorio, and D. Draper, “Flow-through latch and edge-triggered flip-flop hybrid elements,” in Proc. IEEE Int. Solid-State Circuits Conf., Feb. 1996, pp. 138–139.

J. M. Rabaey, Digital Integrated Circuits. Englewood Cliffs, NJ: Prentice -Hall, 1996.

J. Chueh, C. Ziesler, and M. Papaefthymiou, “Empirical evaluation of timing and power in resonant clock distribution,” in Proc. IEEE Int. Symp. Circuits Syst., May 2004, vol. 2, pp. 249–252.

M. Cooke, H. Mahmoodi-Meimand, and K. Roy, “Energy recovery clocking scheme and flip-flops for ultra low-energy applications,” in Proc. Int. Symp. Low Power Electron. Des., Aug. 2003, pp. 54–59.


Refbacks

  • There are currently no refbacks.


Creative Commons License
This work is licensed under a Creative Commons Attribution 3.0 License.