Open Access Open Access  Restricted Access Subscription or Fee Access

An Efficient System on Chip Interconnect Using Effective Bias Circuit

R. Priyadharsini, H. Mahesh Kumar

Abstract


Continuous scaling down the transistor size causes the delay of local wires to decreases while delay of global wires remains the same. The current mode signaling (CMS) with effective bias circuit produce low power consumption over long on chip interconnect. This paper deals with variation tolerance with dynamic overdriving that produce less power consumption and proposed smart bias that increases the signal integrity through long distance communication. This proposed Smart bias is sensitive to both inter-die and intra-die variation. The CMS scheme and the proposed Scheme is tested using 0.18um technology.

Keywords


Dynamic Overdriving, On-Chip Interconnect, Current Mode Signaling (CMS), Smart Bias.

Full Text:

PDF

References


J. Dwens, W. J. Dally, R. Ho, D. N. Jayasimha, S. W. Keckler, and L.-S. Peh, “Research challenges for on-chip interconnection networks,” IEEE Micro, vol. 27, no. 5, pp. 96–108, Sep./Oct. 2007.

H. Zhang, V. George, and J. M. Rabaey, “Low-swing on-chip signaling techniques: Effectiveness and robustness,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 8, no. 6, pp. 264–272, Jun. 2000.

D. Stlvester and K. Keutzer, “Getting to the Bottom of Deep Submicron II: A Golbal Wiring Paradigam”, Proc. ISSD, PP. 193-200, 1999.

U. Y Ogras and R. Marcules, “It’s a small word after all: NOC Performance Optimization via Long Range Link insertion”, IEEE Trans on Very Large Scale Integr. (VLSI) System. Vol. 14, no. 7, PP. 693-706. July 2006.

J. sun. Seo, P. Singh, D. Sylvester, and D. Blaauw, “Self-timed regener- ators for high-speed and low-power interconnect,” in Proc. ACM/IEEE Int. Symp. Quality Electron. Design (ISQED), 2007, pp. 621–626.

A. Katoch, H. Veendrick, and E. Seevinck, “High speed current-mode signaling circuits for on-chip wires,” in Proc. IEEE Int. Symp. Circuits Syst., 2005, pp. 4138–4141.

M. M. Tabrizi, N. Masoumi, and M. M. Deilami, “High speed current- mode signalling for interconnects considering transmission line and crosstalk effects,” in Proc. MWCAS, 2007, pp. 17–20.

M. Dave, M. Shojaei, and D. Sharma, “A process variation tolerant, high-speed and low-power current mode signaling scheme for on-chip interconnects,” in Proc. GLSVLSI, 2009, pp. 389–392

E. Mensink, D. Shinkel, E. A. M. Klumperink, E. van Tuijl, and B. Nauta, “Power efficient gigabit communication Capacitively driven RC-limited on-chip interconnects,” IEEE J. Solid-State Circuits, vol.45, no. 2, pp. 447–457, Feb. 2010.

R. Nihar, et al, “Comparison and analysis of Delay Element”, The State University of New York. NY 14260, USA.

Garimella, Alwin et al, “An Empirical and analytical comparison of Delay Elements and a New Delay Element Design”, VLSI System group Seagate technology, Inc. Scotts Valley, CA 95066, USA.

D. Shinkel, E. Mensink, et al, “A 3-Gb/s/ch transceiver for 10-mm uninterrupted RC-limited global on chip interconnects”, IEEE J Solid State Circuits Vol 41, no.1, PP. 29/306, Jan 2006.


Refbacks

  • There are currently no refbacks.


Creative Commons License
This work is licensed under a Creative Commons Attribution 3.0 License.