Open Access Open Access  Restricted Access Subscription or Fee Access

A Low Power Analysis for SRAM using 6T and 8T Bit Cells

M.K. Anjali

Abstract


The area and power consumption of the SOC devices, occupied by static random access memory (SRAM), increase largely with technology scaling. Thus they are critical components in both high-performance processors and hand-held applications. As a result, SRAM energy power becomes a major issue, and low power SRAM designs, without compromising speed performance, are especially crucial in modern very-large-scale integration (VLSI) designs. Random -access memory (SRAM) continues to be a critical component across a wide range of microelectronics applications from consumer wireless to high-end workstation and microprocessor applications. The heart of an SRAM is the cell array where the data is stored. The memory cell array usually consists of thousand of memory cells depending on the number of bits it can store (word size). The architecture combines built-in self-test and digitally controlled delay elements to reduce the word line pulse width for memories while ensuring correct read operations, hence reducing the switching power. The architecture uses 6T SRAM cell and 8T SRAM cells respectively and the power and area analysis is made accordingly.

Keywords


6T (Transistor) SRAM Cell, 8T (Transistor) SRAM Cell, BIST (Built-in Self Test), Low Power, SRAM (Static Random Access Memory), WL (WordLine).

Full Text:

PDF

References


Mohamed H. Abu- Rahma, Mohab Anis and Sei Seung Yoon “Reducing SRAM Power Using Fine-Grained Wordline Pulsewidth Control”,IEEE transactions on very large scale integration (VLSI) systems, vol. 18, no. 3, March 2010.

M. Khellah, Y. Ye, N. Kim, D. Somasekhar, G. Pandya, A. Farhang, K. Zhang, C.Webb, and V. De, “Wordline and bitline pulsing schemes for improving SRAM cell stability in low Vcc 65 nm CMOS designs,”in Proc. IEEE Symp. VLSI Circuits, 2006, pp. 9–10.

David A. Hodges, Horace G. Jackson, Resve A. Saleh, “Analysis and Design of Digital Integrated Circuits “- Third Edition,2003

R. Heald and P. Wang, “Variability in sub-100 nm SRAM designs,” in Proc. Int. Conf. Comput. Aided Des. 2004, pp. 347–352.

Scott Doyle, Shankamarayanan Ramdswamy, Tri Hoang, Leonard Rockett, Tim Grembowski, and Adam Bumgamer, “High Performance Radiation Hardened Static Random Access Memory (SRAM) Design for Space Applications”, IEEE Aerospace Conference Proceedings, vol. 4, pp. 2284 – 2293, no. 10, March 2004.

R. Venkatraman, R. Castagnetti, and S. Ramesh, “The statistics of device variations and its impact on SRAM bit cell performance, leakage and stability,” in Proc. ISQED, 2006, pp. 190–195.

M. Q. Do, M. Drazdziulis, P. Larsson-Edefors, and L. Bengtsson, “Parameterizable architecture-level SRAM power model using circuit-simulation backend for leakage calibration,” in Proc. ISQED, 2006, pp. 557–563. [25]

A. Chandrakasan, W. J. Bowhill, and F. Fox, “Design of High- Performance Microprocessor Circuits.” NewYork: Wiley-IEEE Press, 2000.

B. Amrutur and M. Horowitz, “A replica technique for wordline and sense control in low-power SRAM’s,” IEEE J. Solid-State Circuits, vol.33, no. 8, pp. 1208–1219, Aug. 1998.

R. Rajsuman, “Design and Test of Large Embedded Memories: An Overview”, IEEE Design and Testing of Computers, May/June 2001, pp. 16-27.

M. Yamaoka, N. Maeda, Y. Shinozaki, Y. Shimazaki, K. Nii, S.Shimada, K. Yanagisawa, and T. Kawahara, “Low-power embedded SRAM modules with expanded margins for writing,” in Proc. ISSCC, 2005, vol. 1, pp. 480–611.

M. H. Abu-Rahma, K. Chowdhury, J. Wang, Z. Chen, S. S. Yoon, and M. Anis, “A methodology for statistical estimation of read access yield SRAMs,” in Proc. 45th DAC, 2008, pp.205-210


Refbacks

  • There are currently no refbacks.


Creative Commons License
This work is licensed under a Creative Commons Attribution 3.0 License.