Open Access Open Access  Restricted Access Subscription or Fee Access

An Efficient Algorithm for Token Management of Message Dependent Deadlocks Recovery Architecture

Rinkle Rani Aggarwal, Nidhi Garg

Abstract


Interconnection networks are the backbone for communication in multicomputer environment based on point-to-point switches which are benefited from the parallelism offered by non-blocking switches capable of forwarding packets at full link speed concurrently between input and output ports. These networks being lossless networks are more prone to deadlocks where probability of occurrence of deadlock is rare; there deadlock recovery strategies are used where some resources are de-allocated and granted to some other packets. Two kind of deadlock occurs Routing dependent and Message dependent where as routing dependent deadlocks occur when router allocates network resources to the messages in such a way that the complete set of resource dependencies form knotted cycles along escape resources. Techniques for handling routing deadlock are not sufficient for dealing with message-dependent deadlocks because they assume that messages in the network always sink upon arrival at their destinations. There are various approaches to avoid message dependent deadlock but these techniques are not very scalable since the size of the message queues grow there number of partitioned logical and physical network also increases which creates an extra overhead on the network. Therefore in such cases recovery technique called mDisha is used, where network is recovered from message dependent deadlocks by giving an alternate path on the network which pre-empts the controller. But, in mDisha there is no reliable token management system i.e. there is no provision to handle lost token. This paper proposes an algorithm to manage the token in the case of lost packet or acknowledgement. The algorithm has considered four nodes ring based mDisha architecture and has been implemented in Java language. The handling of token has been shown through simulation

Keywords


Deadlock-Recovery, Message Dependencies, Message Dependent Deadlocks, mDisha and Token Management Algorithm.

Full Text:

PDF

References


O. Lysne, S.A. Reinemo, T. Skeie, A.G. Solheim and T. Sodring, ―Interconnection Networks: Architectural Challenges for Utility Computing‖, IEEE Computer Society, vol. 41, no. 9, pp. 62-69, Sept. 2008.

W. Dally and C. Seitz, ― Deadlock-free Message Routing in Multiprocessor Interconnection Networks‖, IEEE Transactions on Computers, vol.36, no. 5, pp. 547–553, May 1987.

A. Khonsari, A. Shahrabi, M. Ould-Khaoua and H. Sarbazi-Azad, ―Performance comparison of Deadlock Recovery and Deadlock Avoidance Routing Algorithms in Wormhole-switched Networks‖, IEEE Computer Digital Technology, vol. 150, no. 2, pp. 1297-1304, March 2003.

A. Lankes, T. Wild, A. Herkersdorf, S. Sonntag and H.M. Reinig, ―Comparison of Deadlock Recovery and Avoidance Mechanisms to Approach Message Dependent Deadlocks in on-Chip Networks‖, in Proceedings of the 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip, IEEE Computer Society ,Washington DC, USA, 2010.

Z.H. Al-Awwami, M.S. Obaidat and M. Al-Mulhem, ―A New Deadlock Recovery Mechanism for Fully Adaptive Routing Algorithms ‖,in Proceedings of the IEEE International Performance ,Computing and Communications Conference, vol.9, no.2 , pp. 132-138, 2000.

K.V. Anjan and T.M. Pinkston, ―Disha: An Efficient, Fully Adaptive Deadlock Recovery Scheme‖, in Proceedings of the 22nd annual international symposium of Computer Architecture, vol. 23, Issue 2, May 1995.

J. Duato, M.S. Yalamanchili and L. Ni, ―Interconnection Networks: An Engineering Approach‖, Morgan Kauffman publisher an imprint of Elsevier Science: 2002.

J.H. Kim, Z. Liu and A.A. Chien, ―Compressionless Routing: A Framework for Adaptive and Fault-Tolerant Routing‖, IEEE Transactions on Parallel and Distributed Systems, vol.8, no.3, pp. 229-244, March 1997.

F. Silla , A. Robles and J. Duato, ―Improving Performance of Networks of Workstations by using Disha Concurrent‖, in Proceedings of International Conference on Parallel Processing, pp. 80-87, Aug. 1998.

J.M. Martinez, P. Lopez, J. Duato and T.M. Pinkston, ―Software-Based Deadlock Recovery Technique for true Fully Adaptive Routing in Wormhole Networks‖, in Proceedings of the IEEE International Conference on Parallel Processing, pp. 8108-8186, 1997.

H. Al-Awwami, M.S. Obaidat, and M. Al-Mulhem, ―ZOMA: A preemptive Deadlock Recovery Mechanism for fully Adaptive Routing in Wormhole Networks‖, IEEE Transactions on Computers, vol. 50, no.8, pp. 811-823, 2001.

T. Takabatake, T. Kitakami and H. Ito, ―Escape and Restoration Routing: Suspensive deadlock Recovery in Interconnection networks‖, IEEE Transactions on Computers, vol.50, no. 8, pp. 811-823, 2001.

Pinkston T.M., Warnakulasuriya S., ―On Deadlocks in Interconnection Networks‖, in Proceedings of the 24th annual International symposium on Computer Architecture, vol. 25, no. 2, 1997.

Y.H. Song, ―Architectural Support for Efficient Utilization of Interconnection Network Resources‖, in Dissertation of Faculty of the Graduate School University of Southern California, August 2002.

Y.H. Song, ―Architectural Support for Efficient Utilization of Interconnection Network Resources‖, in Dissertation of Faculty of the Graduate School University of Southern California, August 2002.

Y.H. Song, ―Architectural Support for Efficient Utilization of Interconnection Network Resources‖, in Dissertation of Faculty of the Graduate School University of Southern California, August 2002.

Y.H. Song and T.M. Pinkston, ―A Progressive Approach to Handling Message-Dependent Deadlock in Parallel Computer Systems‖, IEEE Transactions on Parallel and Distributed Systems, vol. 14, no. 3, pp. 259-275, March 2003.

T. Takabatake, T. Kitakami and H. Ito, ―Escape and Restoration Routing: Suspensive deadlock Recovery in Interconnection networks‖, IEEE Transactions on Computers, vol.50, no. 8, pp. 811-823, 2001.

S. Warnakulasuriya and T.M. Pinkston, ―A Formal Model of Message Blocking and Deadlock Resolution in Interconnection Networks‖, IEEE Transactions on Parallel and Distributed Systems, vol. 11, no. 3, pp. 212-229 , March 2000.


Refbacks

  • There are currently no refbacks.


Creative Commons License
This work is licensed under a Creative Commons Attribution 3.0 License.