Open Access Open Access  Restricted Access Subscription or Fee Access

ASIC Implementation of Efficient Error Detection for Floating Point Addition

K. Kowsalya, A. Janaki, D. Haripriya, V. Nandhini, J. Jayasudha

Abstract


Floating point operations are used in large dynamic range applications. In a floating point unit addition is one of the most complex operation. An area efficient floating point addition unit with error detection logic is proposed in this paper. Existing error detection logics and leading zero anticipators helps to decrease the delay of the general floating point unit, but they are not area efficient. An area efficient carry select adder with error detection logic is designed by replacing RCA. Here binary to excess-1 converter is used in Carry Select Adder (CSLA) instead of ripple carry adder for carry in = 1. The proposed design is tested on XILINX simulator.

Full Text:

PDF

References


Giorgos Dimitrakopoulos, Kostas Galanopoulos, Christos Mavrokefalidis, Dimitris Nikolos. “Low Power Leading-Zero Counting and Antici-pation Logic for High-Speed Floating Point Units”. In Proc. of, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 16, No. 7, pages 837-850, July 2008.

Ali malik and Soek bum ko. “Design tradeo analysis of floating point adders in FPGAs”. Can. J. elect. Comput. Eng., 2008 IEEE.

Loucas Louca, Todd A cook and William H. Johnson. “Implementation of IEEE single precision floating point addition and multiplication on FPGAs”. 1996 IEEE.

Z. Luo and M. Martonosi. “Accelerating pipelined integer and floating-point accumulations in configurable hardware with delayed addition techniques”. IEEE Transactions on Computers, vol. 49, no. 3, pp. 208-218, 2000.

B.Ramkumar, and Harish M Kittur. “Low Power and Area E cient Carry Select Adder”. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp. I-S (2012).

Institute of Electrical and Electronics. “Standard for Binary floating point arithmetic”.IEEE Std 754-1985.

Naresh Grover, M.K.Soni. “Design of FPGA based 32-bit Floating Point Arithmetic Unit and verification of its VHDL code using MATLAB”. I.J. Information Engineering and Electronic Business, 2014, 1, 1-14 Published Online February 2014 in MECS.




DOI: http://dx.doi.org/10.36039/AA022018004.

Refbacks

  • There are currently no refbacks.


Creative Commons License
This work is licensed under a Creative Commons Attribution 3.0 License.